当前位置:   article > 正文

小脚丫 LCMXO2 4000HC FPGA入门——点个灯_lcmxo2引脚配置

lcmxo2引脚配置

参加了电子森林的活动,入手小脚丫FPGA玩一玩,这里分享一下学习资源。

  1. 开发环境:Lattice Diamond 3.8 + Verilog + MXO2-4000HC
  2. 在这里插入图片描述

顺便帮硬核学堂做一下宣传,这个活动真心不错,让不同背景不同地区但是有相同学习兴趣的人聚到一起,共同学习。
在这里插入图片描述
依次点击右上角的File - New - Project
在这里插入图片描述
然后点击下一步Next
在这里插入图片描述
输入项目名称,这里用的“LED”,自定义工程目录,建议新建一个文件夹,然后Next
名称
如果有约束文件可以勾选,没有的话直接Next
在这里插入图片描述
选择对应板子的型号,我的板子在这里对应的是MachXO2 - LCMXO2-4000HC,然后再选择内存和封装,然后Next
在这里插入图片描述
没什么问题直接Next
在这里插入图片描述
在这里插入图片描述
然后进入新建的工程页面
在这里插入图片描述
然后填加一个Verilog文件,依次点击File - New - File,
在这里插入图片描述
这里选择Verilog Files,Name填LED,然后New
在这里插入图片描述
接下来就可以敲代码了,可以在实践中快速熟悉Verilog语法,
在这里插入图片描述
在这里插入图片描述
管脚定义
在这里插入图片描述
根据原理图,clk引脚为C1,LED1管脚为N13,IO,类型为LVCMOS33,配置完成一定要点击保存,或者Ctrl+S
在这里插入图片描述
根据需求勾选对应的栏后,双击Export Files,等到勾选栏全为绿色‘√’后,下面output栏提示 Finish loading physical design information
在这里插入图片描述
下面下载程序
在这里插入图片描述
Device栏可能是黄色,点击一下就可以了
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
下载成功后,可以看到板子上的LED愉快的闪烁起来了。
(相信我,它真的在闪)
在这里插入图片描述

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/小蓝xlanll/article/detail/709739
推荐阅读
相关标签
  

闽ICP备14008679号