当前位置:   article > 正文

vivado和modelsim 联合仿真,修改vivado中代码不重启modelsim,进行仿真_xil_defaultlib.integer

xil_defaultlib.integer

modelsim 仿真速度高,在做图像处理算法仿真的时候,由于需要加载图片数据,并且需要大量计算,modelsim 的使用加快仿真。

在使用modelsim时候,我们往往需要根据仿真情况来修改代码。在vivado中修改完代码后,在不退出并重启modelsim的情况下更新仿真波形,可以做如下操作:

1.修改xxx_wave.do 文件

这里的xxx 就是testbench的模块名称

在vivado工程中找到此文件,打开此文件,如下图,注释掉此语句

2.修改vivado中的源文件代码,检查modelsim中对应更新

3.在modelsim中重新编译修改后的代码文件

在modelsim的编译库里面找到xil_defaultlib ,展开列表

在展开的列表中找到修改的源文件,右击,点击recomplie,进行重新编译

4.restart  仿真run-all,查看新的波形

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/我家自动化/article/detail/431509
推荐阅读
相关标签
  

闽ICP备14008679号