当前位置:   article > 正文

vivado 如何添加时序约束_如何在vivado中添加时钟约束

如何在vivado中添加时钟约束

vivado 如何添加时序约束

一个 FPGA 设计除了管脚分配以外,还有一个重要的约束,那就是时序约束,这里通过向
导方式演示如果进行一个时序约束

  1. 点击“Run Synthesis”开始综合
    1)  点击“Run Synthesis”开始综合
  2. 弹出对话框点击“OK”
    在这里插入图片描述
  3. 综合完成以后点击“Cancel”

在这里插入图片描述
4) 点击“Constraints Wizard”
在这里插入图片描述
5) 在弹出的窗口中点击“Next”
在这里插入图片描述
6) 时序约束向导分析出设计中的时钟,这里把“sys_clk”频率设置为 50Mhz,然后点击
Skip to Finish”结束时序约束向导。
在这里插入图片描述
7) 弹出的窗口中点击“OK”
8) 点击“Finish”
9) 这个时候top.xdc 文件已经更新,点击“Reload”重新加载文件,并保存文件

set_property PACKAGE_PIN U18 [get_ports sys_clk]
set_property IOSTANDARD LVCMOS33 [get_ports sys_clk]


set_property PACKAGE_PIN J16 [get_ports rst_n]
set_property IOSTANDARD LVCMOS33 [get_ports rst_n]


#时序约束
create_clock -period 20.000 -name sys_clk -waveform {0.000 10.000} [get_ports sys_clk]

  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
  • 8
  • 9
  • 10
  • 11
声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/知新_RL/article/detail/465005
推荐阅读
相关标签
  

闽ICP备14008679号