当前位置:   article > 正文

数字IC基础:各个IC设计流程的EDA工具_ic eda工具csdn

ic eda工具csdn

相关阅读

数字IC基础专栏icon-default.png?t=N7T8https://blog.csdn.net/weixin_45791458/category_12365795.html?spm=1001.2014.3001.5482


        目前,国际主流的EDA软件供应商主要有Synopsys、Cadence、Siemens EDA(原Mentor Graphics)公司。他们都有各自独立的设计流程与相应的工具,也都提供了独立的ASIC设计完整解决方案。近几年,我国EDA行业进入迅速增长的关键阶段,以华大九天、概论电子、芯愿景、国微集团等为代表的国产EDA厂商,在国内EDA市场也具有了一定的影响力。2023年由东南大学牵头组建的EDA领域首个国家技术创新中心获批,成为我国集成电路设计领域第一个国家技术创新中心。表1列举了部分公司的SOC设计流程,以及各设计周期中的主要EDA工具产品。

表1 部分公司的SOC设计流程中的主要EDA工具

主流程

工具分类

工具

供应商

仿真与验证

数字仿真器

Incisive Enterprise Simulator

Incisive Enterprise Simulator

Xcelium Logic Simulator

Cadence

VCS

Synopsys

QuestaSim

Modelsim

Simens EDA

Riviera-Pro

Aldec

ISE Simulator

Vivado Simulator

Xilinx

Quartus Simulator

Intel

等效性检查

Encounter Conformal LEC

JasperGold Apps SEC

Cadence

Formality

VC Formal SEQ模式

Synopsys

FormalPro

Questa SLEC

Synopsys

波形查看

Verdi

Synopsys

模拟仿真器

HSPICE

NanoSim

CustomSim

FineSim

Hsim

VCS AMS

Synopsys

Spectre Circuit Simulator

Spectre X Simulator

Virtuoso ADE

PSpice

Cadence

Empyrean ALPS

Aeolus

华大九天

AFS Platform

Eldo

Siemens EDA

LTspice

Analog Devices

RTL代码覆盖率

VCS

VC SpyGlass

SpyGlass

VC Formal FCA模式

Synopsys

VN-Cover

TNI-Valiosys

HDL Score

QuestaSim

Siemens EDA

Xcelium Logic Simulator

JasperGold Apps COV

Cadence

Riviera-Pro

Aldec

RTL语法和设计规则检查器

Catapult Design Checker

Siemens EDA

Leda

SpyGlass

Synopsys

ALINT-PRO

Aldec

Encounter Conformal Verify

Cadence

综合

门控时钟

Power Compiler

Synopsys

RTL逻辑综合

Design Compiler

Synopsys

Genus Synthesis Solution

Cadence

SyntHESer

Aldec

Oasys-RTL

Siemens EDA

物理综合

Physical Compiler

Synopsys

物理设计

布图规划

Design Compiler Graphical

Synopsys

IC Compiler Ⅱ

Jupiter XT

First Encounter

Cadence

Innovus Implementation System

Virtuoso Layout Suite(in analog design)

Aprisa digital implementation

Siemens EDA

Olympus-Soc

布局布线

Olympus-Soc

Siemens EDA

IC Compiler Ⅱ

Synopsys

Astro

Innovus Implementation System

Cadence

信号完整性

Celtic NDC

Cadence

Tempus

PrimeTime SI

Synopsys

IR/电迁移

Astro Rail

Synopsys

PrimeRail

Voltus

Cadence

RedHawk

Ansys

RC提取

Quantus

Cadence

HyperExtract

Empyrean RCExplorer

华大九天

Calibre xRC

Siemens EDA

StarRC

Synopsys

LVS&DRC

Calibre DRC

Siemens EDA

Calibre LVS

Hercules

Synopsys

IC Validator

Empyrean Argus

华大九天

Guardian

Silvaco

Pegasus

Cadence

PVS

时序和功耗检查

静态时序分析

PrimeTime

Synopsys

Tempus

Cadence

晶体管级静态时序分析

NanoTime

Synopsys

PathMill

门级功耗分析

PrimePower

Synopsys

PowerPro

Simens

RTL级功耗分析

PowerArtist

Ansys

PowerPro

Simens

PrimePower

Synopsys

Joules

Cadence

晶体管级功耗分析

PowerMill

Synopsys

时序检查/分析

ICExplorer-XTime

华大九天

全定制设计

原理图编辑

Virtuoso Composer

Cadence

Virtuoso Schematic Editor

Custom Compiler

Synopsys

Empyrean Aether SE

华大九天

Tanner S-Edit

Siemens EDA

版图编辑

Custom Compiler

Synopsys

Tanner L-Edit

Siemens EDA

Empyrean Aether LE

华大九天

Virtuoso Layout Suite

Cadence

可测性设计

ATPG

Tessent FastScan

Siemens EDA

Tessent TestKompress

TetraMAX II

Synopsys

TestMAX ATPG

Modus

Cadence

逻辑内建自测试

Tessent LogicBIST

Siemens EDA

TestMAX XLBIST

Synopsys

DFTMAX LogicBIST

Modus

Cadence

边界扫描测试

Tessent BoundaryScan

Siemens EDA

BSD Compiler

Synopsys

DFTMAX Boundary

TestMAX DFT

Modus

Cadence

扫描链插入

Tessent ScanPro

Siemens EDA

DFT Compiler

Synopsys

TestMAX DFT

Modus

Cadence

存储器内建自测试

Tessent MemoryBIST

Siemens EDA

Modus

Cadence

RTL-to-GDSII

RTL-to-GDSII

SOC Encounter RTL-to-GDSII System

Cadence

Virtuso Digital Implementation(in analog design)

Fusion Compiler

Synopsys

Tanner Digital Implementer(in analog design)

Siemens EDA

注意:其中有些工具是高度集成的,有些工具是可以集成也可以单独使用,不进行显式说明

        这些工具都有自己的特点和特长,有一些已经成为工业界的标准。例如,Synopsys的静态时序分析工具Primetime、晶体管级电路模拟仿真软件HSPICE、逻辑综合工具Design Compiler、Cadence的全定制芯片流程软件包ICFB,以及Siemens EDA的DRC&LVS工具Calibre。设计公司应该在设计之前根据自己的需求确定所要使用的工具。

        目前,随着EDA软件功能越来越强,各大EDA公司都已推出了RTL到GDSII的完整工具包。这样大大减少了使用不同工具所带来的数据格式不同等问题,如Synopsys的Galaxy平台、Cadence公司的SOC Encounter等。

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/神奇cpp/article/detail/773029
推荐阅读
相关标签
  

闽ICP备14008679号