当前位置:   article > 正文

Zynq PS不支持仿真_zynq ps仿真

zynq ps仿真

通过仿真和查询资料,确认Zynq PS处理不支持仿真

# Warning on instance fpga_syn_top_tb.u_fpga_syn_top.u_fpga_ps.shoutai_i.zynq_ps.inst.PS7_i : The Zynq-7000 All Programmable SoC does not have a simulation model. Behavioral simulation of Zynq-7000 (e.g. Zynq PS7 block) is not supported in any simulator. Please use the AXI BFM simulation model to verify the AXI transactions.

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/神奇cpp/article/detail/830519
推荐阅读
相关标签
  

闽ICP备14008679号