当前位置:   article > 正文

Vivado工程创建、仿真、下载与固化全流程_vivado下载程序到板子

vivado下载程序到板子

本文以一个简单工程为例,介绍使用Vivado新建工程、代码的编写、Testbench代码的编写、波形仿真分析、引脚约束、生成bit流文件、通过JTAG将网表下载到开发板、程序的固化与下载全流程。

1.新建工程

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

2.RTL代码的编写

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

3.Testbench的原理

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

4.Testbench代码的编写

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

5.打开SIMULATION观察波形

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

6.仿真波形分析

在这里插入图片描述

7.引脚约束

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

8.生成bit流文件

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

9.通过JTAG将网表下载到开发板

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

10.程序的固化

在这里插入图片描述

10.1 MCS文件的生成

在这里插入图片描述

10.2 BIN文件的生成

在这里插入图片描述

10.3 下载固化文件

在这里插入图片描述
在这里插入图片描述

参考文献

选自 野火《FPGA Verilog开发实战指南——基于Xilinx Artix7》

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/花生_TL007/article/detail/628609
推荐阅读
相关标签
  

闽ICP备14008679号