赞
踩
链接 zybo资料
提取码:akak
创建工程名 以及储存位置(不能有中文路径!!!)
接着连点两下next 到达这个界面:能够找到zybo z7-20就是板卡文件添加的没问题 选择zybo z7-20 然后next 接着finish 新建工程成功
module key_led(
input key_down,
output led_on
);
assign led_on = key_down;
endmodule
// key_down_0 是由顶层文件决定 看design_1_wrapper 决定输入输出决定引脚名称
//也可以在designed的视图中看引脚名称 并且可以自定义名称
set_property IOSTANDARD LVCMOS33 [get_ports key_down_0]
set_property IOSTANDARD LVCMOS33 [get_ports led_on_0]
set_property PACKAGE_PIN K18 [get_ports key_down_0]
set_property PACKAGE_PIN M14 [get_ports led_on_0]
关于这个约束文件 可以参考如下博客
链接:zybo 管脚约束文件
10. 至此就可以进行比特流文件生成
11. 打开Hardware Manager 连接上开发板 点击Open target 接着 Auto Connect 然后会有 Program device 点击它 程序就下载到开发板里面了
Copyright © 2003-2013 www.wpsshop.cn 版权所有,并保留所有权利。