当前位置:   article > 正文

Testbench编写方法_testbench怎么写

testbench怎么写

仿真思路

提供时间安排,输入激励,例化被测试模块。

明德扬模板

Gvim中输入Test

`timescale 1 ns/1 ns
module testbench_name();
//时钟和复位
reg clk  ;
reg rst_n;
//uut的输入信号
reg[3:0]  din0  ;
reg       din1  ;
......
//uut的输出信号
wire      dout0;
wire[4:0] dout1;
......

//时钟周期,单位为ns,可在此修改时钟周期。
parameter CYCLE    = 20;
//生成本地时钟50M
initial begin
clk = 0;
forever
#(CYCLE/2)
clk=~clk;
end

  • 1
  • 2
  • 3
  • 4
  • 5
  • 6
  • 7
  • 8
  • 9
  • 10
  • 11
  • 12
  • 13
  • 14
  • 15
  • 16
  • 17
  • 18
  • 19
  • 20
  • 21
  • 22
  • 23
  • 24
声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/菜鸟追梦旅行/article/detail/661389
推荐阅读
相关标签
  

闽ICP备14008679号