当前位置:   article > 正文

modelsim自动仿真环境_modelsim如何添加环境变量

modelsim如何添加环境变量

目录

一、bat文件

二、do文件


一、bat文件

  1. ::关键字echo 在DOS屏幕上有打印输出的功能,相当于高级编程语言的print(),参数有on,offon 表示在从下一行开始命令行显示,off表示从下一行开始关闭命令行显示
  2. @echo off
  3. ::清除屏幕。
  4. @cls
  5. ::标题
  6. title FPGA Simulation
  7. ::echo ModelSim simulation
  8. ::空一行
  9. echo.
  10. ::echo Press '1' to start simulation
  11. ::echo.
  12. ::
  13. :::input
  14. ::set INPUT=
  15. ::显示Type test number
  16. ::set /P INPUT=Type test number: %=%
  17. ::如果按下1就执行run1的代码
  18. ::if "%INPUT%"=="1" goto run1
  19. ::goto end
  20. :::run1
  21. ::@cls
  22. ::echo Start Simulation;
  23. ::echo.
  24. ::echo.
  25. ::打开当前路径下的testbench文件夹
  26. cd testbench
  27. ::在系统的环境变量当中path路径中添加了modelsim的安装路径,就会直接调用modelsim,然后执行do compile.do的脚本
  28. vsim -do "do compile.do"
  29. ::将 Windows 命令解释程序定向到批处理程序中某个带标签的行
  30. goto clean_workspace
  31. :::clean_workspace
  32. ::rmdir /S /Q work
  33. ::del vsim.wlf
  34. ::del transcript.
  35. :end

二、do文件

  1. #vlog # 编译
  2. #vlib # 建立一个新的工作库/逻辑库
  3. #vmap # 映射逻辑库名到制定的目录
  4. #add wave # 将信号加入波形
  5. #run # 执行仿真(默认执行100ns,-all 执行到结束)
  6. #quit # 退出仿真
  7. # 建立一个新的工作库/逻辑库
  8. vlib work
  9. vmap work work
  10. #library编译库
  11. #vlog -work work ../../library/artix7/*.v
  12. #IP编译IP,../表示上一级文件夹
  13. #vlog -work work ../../../source_code/ROM_IP/rom_controller.v
  14. #vlog -work work ../vivado_project/project_1/project_1.srcs/sources_1/ip/clk_wiz_0/clk_wiz_0_sim_netlist.v
  15. #SourceCode编译VHDL
  16. vlog -work work ../design/*.v
  17. #Testbench编译仿真
  18. vlog -work work sim_tb_top.v
  19. #把sim_tb_top添加到modelsim中WORE文件夹下
  20. #vsim -voptargs=+acc -L unisims_ver -L unisim -L work -Lf unisims_ver work.glbl work.sim_tb_top
  21. vsim -voptargs=+acc work.sim_tb_top
  22. #Add signal into wave window
  23. #运行do wave.do文件
  24. do wave.do
  25. add wave -position insertpoint sim:/sim_tb_top/inst_mod_top/*
  26. #运行仿真
  27. run -all

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/weixin_40725706/article/detail/597955
推荐阅读
  

闽ICP备14008679号