当前位置:   article > 正文

用Quartus实现2-4线,3-8线,4-16线译码器及控制七段数码管_使用quartus如何设计74138分配器

使用quartus如何设计74138分配器

基本步骤

1.创建工程和文件(顶层BDF,还有一个virlog HDL 文件)

牢记工程名与顶层文件名相同,不然会出现can’t find design entitle,从而编译时出现错误。

2.创建元件:

(1)在新工程中创建两个新文件,在File/save as更改文件名和文件地址,在virlog HDL文件中输入代码如下,此代码中包含一个2-4线译码器。

(2).在左侧任务导航(project navigator)中“生成代码文件对应的符号模块(create symbol files for current file”以便在BDF中使用,默认可以调用(enabledocking),没有默认的话记得勾选。

3.使用元件并画BDF图

然后添加输入输出管脚并改名(输出管脚可以命名一组,注意命名格式见上方提示&#

本文内容由网友自发贡献,转载请注明出处:【wpsshop博客】
推荐阅读
相关标签
  

闽ICP备14008679号