当前位置:   article > 正文

2—基于FPGA(ZYNQ-Z2)的多功能小车—硬件设计—电机驱动模块(TB6612FNG)_tb6612fng电机驱动模块

tb6612fng电机驱动模块

目录

1.驱动电路

2.TB6612FNG介绍

3. 电路原理图


1.驱动电路

  FPGA的引脚电流都比较小,一般为几十微安,但是驱动电机的电流远大于此。因此需要一个电机驱动模块来作为桥梁,连接FPGA与电机。


2.TB6612FNG介绍

   为了驱动四路电机,我使用了两个TB6612FNG,设计简单,体积小,功能完整。我使用的是TB6612FNG芯片,焊接有一定难度,可根据需要选择直插模块,两者没有区别。

                           (芯片)                                                        (模块)

 TB6612FNG的引脚如下:

名称作用
VM电池供电
VCC芯片供电(2.7-5.5V)
GND接地
STBY使能端口,高电平
A(B)IN1-2控制正反转00停止,10正,01反
PWMA(B)0-3.3V,控制转速
A(B)O-2控制电机,接电机正负

 一个TB6612FNG可以控制两个电机,因此需要两个芯片。即控制电机需要4*2个输入(IN),4*1个调速(PWM)。一共12个IO。


3. 电路原理

声明:本文内容由网友自发贡献,转载请注明出处:【wpsshop】
推荐阅读
相关标签
  

闽ICP备14008679号