当前位置:   article > 正文

FPGA应用实验设计(三)—6 位数码管扫描显示驱动电路_位信号驱动电路

位信号驱动电路

一、实验目的

1、了解数码管显示模块的工作原理。
2、熟悉 VHDL 硬件描述语言及自顶向下的设计思想。
3、掌握利用 FPGA 设计 6 位数码管扫描显示驱动电路的方法

二、实验内容

下图所示为1位数码管,由a、b、c、d、e、f、g、dp共8个LED构成,通过点亮特定的LED即可显示相应的字符。
在这里插入图片描述
多位的数码管由多个1位数码管构成,如下图所示为4位数码管。为了简化接口电路,多位数码管的段码(a,b,c,d,e,f,g,dp)通常并联在一起,并增加另外的位选信号(如下图中DIG4,DIG3,DIG2,DIG1)来选择使能某一位数码管。位选信号以一定的频率循环使能每一位数码管,从而实现多位字符的显示。
在这里插入图片描述

三、实验目标

1.在Quartus中用VHDL语言编写程序,设计合适的分频器电路和6位数码管扫描驱动电路,仿真验证后,下载至开发板验证设计功能。(关于本实验仿真的提示:若按照实际的数码管扫描频率(频率较高)进行仿真,则不易观测波形,因此在仿真时可以通过修改一些关键的计数值,以较低的时钟频率来仿真验证代码的逻辑是否正确。之后再按实际情况改回,编译下载至开发板进行测试。)
2.开发板上有6位共阳极数码管,即段码(

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/菜鸟追梦旅行/article/detail/630172
推荐阅读
相关标签
  

闽ICP备14008679号