当前位置:   article > 正文

基于FPGA的SPI读写flash_fpga qspi flash 读写速度

fpga qspi flash 读写速度

        本项目所用开发板FPGA芯片型号为:EP4CE6F17C8 

                                         Flash芯片型号:M25P16

注意:虽然M25P64支持50mhz,但是这款FPGA芯片仅有50MHZ,为此进行了分频至12.5MHZ处理。

一、SPI简介 

        SPI是串行外设接口(Serial Peripheral Interface)的缩写,是美国摩托罗拉公司(Motorola)最先推出的一种同步串行传输规范,也是一种单片机外设芯片串行扩展接口,是一种同步、高速、全双工通信总线,所以可以在同一时间发送和接收数据,SPI是一种事实标准因此并没有定义速度限制,本次项目所用的Flash芯片支持的最高速率达到了50Mb/s(页编程,扇区擦除等操作)。

        SPI 设备间的数据传输之所以又被称为数据交换,是因为 SPI 协议规定一个 SPI 设备不能在数据通信过程中仅仅只充当一个 “发送者(Transmitter)” 或者 “接收者(Receiver)”。在每个 Clock 周期内,SPI 设备都会发送并接收一个 bit 大小的数据(不管主设备好还是从设备),相当于该设备有一个 bit 大小的数据被交换了。一个 Slave 设备要想能够接收到 Master 发过来的控制信号,必须在此之前能够被 Master 设备进行访问 。所以,Master 设备必须首先通过 SS/CS pin 对 Slave 设备进行片选, 把想要访问的 Slave 设备选上。 在数据传输的过程中,每次接收到的数据必须在下一次数据传输之前被采样。如果之前接收到的数据没有被读取,那么这些已经接收完成的数据将有可能会被丢弃,导致 SPI 物理模块最终失效。因此,在程序中一般都会在 SPI 传输完数据后,去读取 SPI 设备里的数据, 即使这些数据(Dummy Data)在我们的程序里是无用的虽然发送后紧接着的读取是无意义的,但仍然需要从寄存器中读出来。这一点后续会在代码的滤除无效数据以及发送指令后发送无效数据占用CS片选信号线中体现出来

1.1 SPI引脚介绍

SPI协议共有四根线,分别为:C(时钟线)、D(主机输入,从机输出)、Q(主机输出、从机输入)、S'(片选信号)。

        C(SCLK) : 主要的作用是 Master(主)设备往 Slave(从)设备传输时钟信号, 控制数据交换的时机以及速率。

        S'(CS)  : 用于 Master(主)设备片选 Slave (从)设备,使被选中的 Slave(从)设备能够被 Master(主)设备所访问。

         D( MISO) : 在 Master(主)上面也被称为 Rx-Channel,作为数据的入口,主要用于SPI 设备接收数据。

         Q(MOSI ): 在 Master(主)上面也被称为 Tx-Channel,作为数据的出口,主要用于 SPI 设备发送数据。

 1.2 时钟极性和时钟相位

        (1)时钟极性(CPOL):时钟极性通常写为CKP或CPOL。CPOL决定空闲时时钟为高还是低电平。

        CPOL = 0:时钟空闲IDLE为低电平 0

        CPOL = 1:时钟空闲IDLE为高电平1

        (2)时钟相位(CPHA):时钟相位通常写为CKE或CPHA。时钟相位/边沿,也就是采集数据时是在时钟信号的具体相位或者边沿。

        CPHA = 0:在时钟信号SCK的第一个跳变沿采样

        CPHA = 1:在时钟信号SCK的第二个跳变沿采样

SPI一共有四种传输模式,如下:

        ①CPOL=0,CPHA=0:此时空闲态时,SCLK处于低电平,数据采样是在第1个边沿,也就是SCLK由低电平到高电平的跳变,所以数据采样是在上升沿,数据发送是在下降沿。

        ②CPOL=0,CPHA=1:此时空闲态时,SCLK处于低电平,数据发送是在第1个边沿,也就是SCLK由低电平到高电平的跳变,所以数据采样是在下降沿,数据发送是在上升沿。

        ③CPOL=1,CPHA=0:此时空闲态时,SCLK处于高电平,数据采集是在第1个边沿,也就是SCLK由高电平到低电平的跳变,所以数据采集是在下降沿,数据发送是在上升沿。

        ④CPOL=1,CPHA=1:此时空闲态时,SCLK处于高电平,数据发送是在第1个边沿,也就是SCLK由高电平到低电平的跳变,所以数据采集是在上升沿,数据发送是在下降沿。

二、M25P16简介和分析

2.1 flash介绍:

M25P16是一款带有先进写保护机制和高速SPI总线访问的串行Flash(闪存)存储器。M25P16特点如下:

        ①存储结构:16M Bit(2M Byte)的存储空间,一共32个扇区(sector),每个扇区256页,每页256字节。

        ②SPI总线兼容的串行接口。

        ③可以单扇区擦除,也可以整块擦除。

        ④可以同时编程1~256字节,页编程速率高达256Byte/1.4ms,即写入一页数据需要1.4ms。

        ⑤数据保存至少20年。

        ⑥支持SPI工作模式0和3

2.2 分析

由上图可以看出:

        ①在发出页编程指令前需要先发送写使能(WREN)指令。

        ②页编程只将1重置为0,因此页编程之前需要先发送一次扇区擦除指令,将存储数据全部置为1。

        ③同时在后续编程中,在发出扇区擦除指令前同样需要发送一次写使能指令。

        ④改芯片有一个状态寄存器,可以通过读取状态寄存器的WIP位获取芯片是否处于忙状态,WEL位获取芯片是否处于写锁存。通过读状态寄存器可以在后续页编程和扇区擦除时不必强行等待手册所要求的操作最大时间。

        为简写程序,未用到读状态寄存器的命令,而是在SE(擦除)和PP(页编程)指令发送后强行等待了所规定的最大等待时间。状态寄存器格式如下图:

 

2.2.1操作流程如下图: 

        可以看出 页编程(PP)、扇区擦除(SE)、读(READ)指令均需要三个字节的地址数据(扇区地址+页地址+数据地址)。

 2.2.2 重要的时间:

        由上图可以看出M25P16芯片要求片选信号要求在数据到来之前提前拉低至少5ns,同时在数据传输结束后至少继续拉低100ns才能被拉高。 

页编程指令发送后等待5ms;扇区擦除指令发送后等待3s。

2.2.3 时钟频率的选择:

        由上图可以看出,除了读数据指令时钟最大速度为20MHz外其余指令均能达到50MHz,为了便于代码编写,本次项目在开发板50MHz的基础上进行了四分频,12.5MHz的时钟能够满足所用的所有指令。 

2.3 项目所用指令时序

2.3.1 写使能(06h)----- Write Enable (WREN):1字节指令

        在进行页编程、扇区擦除、整块擦除或写状态寄存器等操作之前,必须先激活一个写使能步骤。这个过程开始于片选信号的降低,标志着写使能指令的执行开始。一旦指令传输完成,片选信号会恢复到高电平状态,表明写使能过程以及后续的编程或擦除指令传输已经结束

 2.3.2 读ID(9Fh) ---- Read Identification (RDID):1字节的指令、3字节的ID数据

        读ID指令一共会返回三个字节的数据,第一个数据为厂商ID(如下图20h代表的是意法半导体的厂商ID),后两个数据可以理解为器件ID。发送1字节指令,接收3字节数。

2.3.3 读数据(03h) ---- Read Data Bytes (READ):1字节指令+3字节地址+1字节数据

        首先,通过拉低片选信号来选中Flash芯片,接着发送一个数据读取(READ)的指令。紧接着,紧随指令之后,写入一个3字节的数据读取起始地址(范围从A23到A0)。这些指令和地址信息会在串行时钟的上升沿被Flash芯片所捕获并锁存。然后,在串行时钟的下降沿,与该存储地址相对应的存储单元中的数据将被依次通过串行数据总线输出。数据读取首地址可以为芯片中的任何一个有效地址,使用数据读(READ)指令可以对芯 片内数据连续读取,当首地址数据读取完成,会自动对首地址的下一个地址进行数据读取。

时序如下:

 

2.3.4 页写(02h)---- Page Program (PP):1字节指令+3字节地址+1字节数据

        对同一页(256 byte)进行操作;

        在发送一个数据字节到Flash芯片时,通常遵循两个关键指令步骤:首先是写使能指令(WREN),用于激活芯片的写入功能;紧接着是页编程指令(PP),它标志着实际数据写入过程的开始。在这两个指令之后,芯片会进入一个内部页编程周期,这个周期的时间长度为tPP,期间芯片会执行数据写入操作。
        对于Flash芯片而言,每一页的最大存储容量是256字节。利用Page Program(PP)指令,用户可以一次性对最多256字节的数据进行编程,但前提是这些数据必须位于芯片同一内存页上的连续地址中。换句话说,页写操作允许我们一次性地向Flash芯片写入最多256字节的数据。重要的是要注意,如果尝试写入超过256字节的数据,那么多余的字节将会覆盖当前页内原有的数据,可能会导致数据丢失或损坏。时序如下:

2.3.5 擦除(D8h)---- Sector Erase (SE):1字节指令+3字节地址 

        在 Flash 芯片的操作流程中,如果要擦除某个被选中的扇区,使其所有存储单元的内容变为全1,那么在执行这个扇区擦除指令之前,必须先执行一个写使能(WREN)指令来授权写操作。只有在成功发送了写使能指令之后,才可以向 Flash 芯片发送扇区擦除指令。一旦扇区擦除指令被发送,Flash 芯片将立即进入擦除周期,这个周期的时间长度由特定的参数(如tSE或tBE)定义。简而言之,擦除扇区之前必须先通过写使能指令来解锁写操作权限。

 

三、SPI读写Flash程序

     在 Flash 芯片的数据传输过程中,可以将其分为四个关键状态来描述:
        ①CS片选信号预拉低状态(DELAY1):在正式开始数据传输之前,CS片选信号需要提前拉低一段时间,这个时间段被称为DELAY1,其最小值为tSHSH(5ns),以确保芯片正确进入准备接收指令的状态。
        ②指令发送状态(DATA):在CS片选信号稳定拉低之后,Flash 芯片进入指令发送状态。在这个状态下,按照预定的序列向芯片发送特定的指令或数据。
        ③指令发送后片选信号延迟状态(DELAY2):当指令或数据发送完毕后,CS片选信号不会立即恢复高电平,而是会保持一段时间的低电平状态,这段时间被称为DELAY2。这一延迟是为了确保芯片有足够的时间处理完刚刚接收的指令或数据。
        ④片选信号恢复状态(HOLD):经过DELAY2的延迟后,CS片选信号需要按照手册规定的时间tSHSL(100ns)来恢复高电平状态。这个恢复过程被称为HOLD状态,标志着一次指令或数据传输周期的结束。
        这四个状态共同构成了 Flash 芯片在数据传输过程中的完整流程,确保了数据传输的准确性和稳定性。

程序有以下部分:

3.1 控制模块

  1. /**************************************************************
  2. @File : flash_control.v
  3. @Time : 202452121:58:25
  4. @Author :
  5. @EditTool: VS Code
  6. @Font : UTF-8
  7. @Function:
  8. **************************************************************/
  9. module flash_control(
  10. input clk ,
  11. input rst_n ,
  12. input rdid_req , //读ID请求
  13. input read_req , //读数据
  14. input [23:0] read_addr ,
  15. input [8:0] read_num , //需要读出数据的个数 0~512
  16. output [7:0] read_data , //返回数据或者ID
  17. output read_data_vld ,
  18. input write_req ,
  19. input [23:0] write_addr ,
  20. input [8:0] write_num , //写数据个数 0~256
  21. input [7:0] write_data ,
  22. input write_data_vld ,
  23. output write_ready ,
  24. //spi接口模块
  25. output reg [7:0] tx_data ,
  26. output tx_data_vld ,
  27. input tx_ready ,
  28. input [7:0] rx_data ,
  29. input rx_data_vld ,
  30. input done
  31. );
  32. parameter TSE = 3_000_000_000 / 20;
  33. parameter TPP = 5_000_000 / 20;
  34. localparam IDLE = 0 ,
  35. RDID = 1 ,
  36. READ = 2 ,
  37. WAIT = 3 , //等待接口模块处理完成
  38. WEN_1 = 4 , //扇区擦除需要的写使能
  39. SE = 5 ,
  40. DEL_SE = 6 ,
  41. WEN_2 = 7 , //PP指令需要的写使能
  42. PP = 8 ,
  43. DEL_PP = 9 ;
  44. reg [4:0] state ;
  45. wire idle2rdid ;
  46. wire idle2read ;
  47. wire idle2wen_1 ;
  48. wire rdid2wait ;
  49. wire read2wait ;
  50. wire wait2idle ;
  51. wire wen_12se ;
  52. wire se2del_se ;
  53. wire del_se2wen_2 ;
  54. wire wen_22pp ;
  55. wire pp2del_pp ;
  56. wire del_pp2idle ;
  57. reg [9:0] cnt_byte ;
  58. wire add_byte_cnt ;
  59. wire end_byte_cnt ;
  60. reg [9:0] byte_max ;
  61. reg [23:0] read_addr_r ;
  62. reg [8:0] read_num_r ;
  63. reg done_flag ; //辅助状态机数据发送和done信号
  64. reg rdid_flag ;
  65. reg read_flag ;
  66. reg write_flag ;
  67. reg [27:0] cnt_delay ;
  68. wire add_delay_cnt ;
  69. wire end_delay_cnt ;
  70. reg [27:0] delay_max ;
  71. reg [23:0] write_addr_r ;
  72. reg [8:0] write_num_r ;
  73. wire [7:0] fifo_rd_data ;
  74. wire fifo_rd_req ;
  75. wire fifo_empty ;
  76. wire fifo_full ;
  77. wire [8:0] fifo_num ;
  78. reg fifo_wr_end ; //需要写入的数据与fifo中存入的数据个数一致
  79. /**************************************************************
  80. 输入命令寄存
  81. **************************************************************/
  82. always@(posedge clk or negedge rst_n)
  83. if(!rst_n) begin
  84. read_addr_r <= 0;
  85. read_num_r <= 0;
  86. end
  87. else if(read_req) begin
  88. read_addr_r <= read_addr;
  89. read_num_r <= read_num ;
  90. end
  91. always@(posedge clk or negedge rst_n)
  92. if(!rst_n) begin
  93. write_addr_r <= 1;
  94. write_num_r <= 1; //不能为0,存储发送数据的fifo复位之后,userdw信号就是0,会导致状态机误判
  95. end
  96. else if(write_req) begin
  97. write_addr_r <= write_addr;
  98. write_num_r <= write_num ;
  99. end
  100. /**************************************************************
  101. 状态机
  102. **************************************************************/
  103. always@(posedge clk or negedge rst_n)
  104. if(!rst_n)
  105. state <= IDLE;
  106. else case(state)
  107. IDLE : if(idle2rdid)
  108. state <= RDID;
  109. else if(idle2read)
  110. state <= READ;
  111. else if(idle2wen_1)
  112. state <= WEN_1;
  113. //读数据部分
  114. RDID : if(rdid2wait)
  115. state <= WAIT;
  116. READ : if(read2wait)
  117. state <= WAIT;
  118. WAIT : if(wait2idle)
  119. state <= IDLE;
  120. //写数据部分
  121. WEN_1 : if(wen_12se) //写使能
  122. state <= SE;
  123. SE : if(se2del_se) //执行SE
  124. state <= DEL_SE;
  125. DEL_SE : if(del_se2wen_2) //SE执行时间
  126. state <= WEN_2;
  127. WEN_2 : if(wen_22pp) //写使能
  128. state <= PP;
  129. PP : if(pp2del_pp) //PP指令
  130. state <= DEL_PP;
  131. DEL_PP : if(del_pp2idle) //PP执行时间
  132. state <= IDLE;
  133. default : state <= IDLE;
  134. endcase
  135. assign idle2rdid = state == IDLE && rdid_req;
  136. assign idle2read = state == IDLE && read_req;
  137. assign idle2wen_1 = state == IDLE && fifo_wr_end; //fifo中的数据量已经达到了写的数据量
  138. assign rdid2wait = state == RDID && end_byte_cnt; //一次读ID需要发送的数据个数已经发送完成了
  139. assign read2wait = state == READ && end_byte_cnt;
  140. assign wait2idle = state == WAIT && done; //接口模块完成了需要进行的操作
  141. //写数据
  142. assign wen_12se = state == WEN_1 && done_flag && done;
  143. assign se2del_se = state == SE && done_flag && done;
  144. assign del_se2wen_2= state == DEL_SE && end_delay_cnt;
  145. assign wen_22pp = state == WEN_2 && done_flag && done;
  146. assign pp2del_pp = state == PP && done_flag && done;
  147. assign del_pp2idle = state == DEL_PP && end_delay_cnt;
  148. /**************************************************************
  149. 字节计数器
  150. **************************************************************/
  151. always@(posedge clk or negedge rst_n)
  152. if(!rst_n)
  153. cnt_byte <= 'd0;
  154. else if(add_byte_cnt) begin
  155. if(end_byte_cnt)
  156. cnt_byte <= 'd0;
  157. else
  158. cnt_byte <= cnt_byte + 1'b1;
  159. end
  160. assign add_byte_cnt = (state == RDID || state == READ || state == WEN_1 || state == WEN_2 || state == SE || state == PP) && tx_ready && ~done_flag; //使用~done_flag 是为了控制在当前状态下是否需要发送数据
  161. assign end_byte_cnt = add_byte_cnt && cnt_byte == byte_max - 1;
  162. always@(*)
  163. case(state)
  164. READ : byte_max = 4 + read_num_r; //1个指令,3个地址,读取数据量
  165. RDID,SE : byte_max = 4;
  166. PP : byte_max = 4 + write_num_r;
  167. default : byte_max = 1;
  168. endcase
  169. /**************************************************************
  170. 状态跳转控制
  171. **************************************************************/
  172. always@(posedge clk or negedge rst_n)
  173. if(!rst_n)
  174. done_flag <= 0;
  175. else if(end_byte_cnt) //当前状态已经传输完了
  176. done_flag <= 1;
  177. else if(done) //完成了当前状态需要发送的数据
  178. done_flag <= 0;
  179. /**************************************************************
  180. 接口数据传递
  181. **************************************************************/
  182. always@(*)
  183. case(state)
  184. RDID : case(cnt_byte)
  185. 0 : tx_data = 8'h9f; //读ID指令
  186. default : tx_data = 8'hff;
  187. endcase
  188. READ : case(cnt_byte)
  189. 0 : tx_data = 8'h03; //读数据指令
  190. 1 : tx_data = read_addr_r[16+: 8];
  191. 2 : tx_data = read_addr_r[8 +: 8];
  192. 3 : tx_data = read_addr_r[0 +: 8];
  193. default : tx_data = 8'hff;
  194. endcase
  195. WEN_1 ,
  196. WEN_2 : case(cnt_byte)
  197. 0 : tx_data = 8'h06; //写使能指令
  198. default : tx_data = 8'hff;
  199. endcase
  200. SE : case(cnt_byte)
  201. 0 : tx_data = 8'hd8; //扇区擦除指令
  202. 1 : tx_data = write_addr_r[16+: 8];
  203. 2 : tx_data = write_addr_r[8 +: 8];
  204. 3 : tx_data = write_addr_r[0 +: 8];
  205. default : tx_data = 8'hff;
  206. endcase
  207. PP : case(cnt_byte)
  208. 0 : tx_data = 8'h02; //PP指令
  209. 1 : tx_data = write_addr_r[16+: 8];
  210. 2 : tx_data = write_addr_r[8 +: 8];
  211. 3 : tx_data = write_addr_r[0 +: 8];
  212. default : tx_data = fifo_rd_data; //需要写入的数据
  213. endcase
  214. default : tx_data = 8'hff;
  215. endcase
  216. assign tx_data_vld = add_byte_cnt;
  217. /**************************************************************
  218. 记录当前执行的什么操作
  219. **************************************************************/
  220. reg [1:0] op_flag ;
  221. `define OP_RDID 2'b01
  222. `define OP_READ 2'b10
  223. always@(posedge clk or negedge rst_n)
  224. if(!rst_n)
  225. op_flag <= `OP_RDID;
  226. else if(idle2read)
  227. op_flag <= `OP_READ;
  228. else if(idle2rdid)
  229. op_flag <= `OP_RDID;
  230. else if(idle2wen_1)
  231. op_flag <=2'b00;
  232. /**************************************************************
  233. 处理接口模块返回的数据
  234. **************************************************************/
  235. reg [2:0] cnt_num;
  236. wire add_num_cnt,end_num_cnt;
  237. reg [2:0] num_max;
  238. always@(posedge clk or negedge rst_n)
  239. if(!rst_n)
  240. cnt_num <= 'd0;
  241. else if(add_num_cnt) begin
  242. if(end_num_cnt)
  243. cnt_num <= 'd0;
  244. else
  245. cnt_num <= cnt_num + 1'b1;
  246. end
  247. assign add_num_cnt = rx_data_vld && ~rdid_flag && ~read_flag;
  248. assign end_num_cnt = add_num_cnt && cnt_num == num_max - 1; //滤除不需要的数据
  249. always@(*)
  250. if(op_flag == `OP_READ)
  251. num_max = 4; //需要滤除1个指令和3个地址
  252. else
  253. num_max = 1;
  254. /**************************************************************
  255. 接收Flash读回来的数据
  256. **************************************************************/
  257. //处理ID数据
  258. always@(posedge clk or negedge rst_n)
  259. if(!rst_n)
  260. rdid_flag <= 0;
  261. else if(end_num_cnt && op_flag == `OP_RDID)
  262. rdid_flag <= 1;
  263. else if(wait2idle)
  264. rdid_flag <= 0;
  265. //处理读取的数据
  266. always@(posedge clk or negedge rst_n)
  267. if(!rst_n)
  268. read_flag <= 0;
  269. else if(end_num_cnt && op_flag == `OP_READ)
  270. read_flag <= 1;
  271. else if(wait2idle)
  272. read_flag <= 0;
  273. assign read_data = rx_data;
  274. assign read_data_vld = (read_flag || rdid_flag) && rx_data_vld;
  275. /**************************************************************
  276. 写数据延时
  277. **************************************************************/
  278. always@(posedge clk or negedge rst_n)
  279. if(!rst_n)
  280. cnt_delay <= 'd0;
  281. else if(add_delay_cnt) begin
  282. if(end_delay_cnt)
  283. cnt_delay <= 'd0;
  284. else
  285. cnt_delay <= cnt_delay + 1'b1;
  286. end
  287. assign add_delay_cnt = (state == DEL_PP) || (state == DEL_SE);
  288. assign end_delay_cnt = add_delay_cnt && cnt_delay == delay_max - 1;
  289. always@(*)
  290. if(state == DEL_SE)
  291. delay_max = TSE;
  292. else if(state == DEL_PP)
  293. delay_max = TPP;
  294. else
  295. delay_max = 1;
  296. /**************************************************************
  297. 写数据控制
  298. **************************************************************/
  299. always@(posedge clk or negedge rst_n)
  300. if(!rst_n)
  301. write_flag <= 0;
  302. else if(write_req)
  303. write_flag <= 1;
  304. else if(idle2wen_1) //真正进入写数据状态之后,拉低flag
  305. write_flag <= 0;
  306. /**************************************************************
  307. 缓存需要写的数据
  308. **************************************************************/
  309. fifo fifo_inst (
  310. .aclr ( ~rst_n || del_pp2idle ),
  311. .clock ( clk ),
  312. .data ( write_data ),
  313. .rdreq (fifo_rd_req ),
  314. .wrreq ( write_data_vld && ~fifo_full),
  315. .empty (fifo_empty ),
  316. .full (fifo_full ),
  317. .q (fifo_rd_data ),
  318. .usedw (fifo_num )
  319. );
  320. assign fifo_rd_req = state == PP && cnt_byte > 3 && tx_ready && ~fifo_empty;
  321. assign write_ready = ~fifo_full;
  322. always@(posedge clk or negedge rst_n)
  323. if(!rst_n)
  324. fifo_wr_end <= 0;
  325. else if(fifo_num == write_num_r)
  326. fifo_wr_end <= 1;
  327. else if(pp2del_pp) //数据写入完成
  328. fifo_wr_end <= 0;
  329. endmodule

3.2 SPI接口模块

  1. /**************************************************************
  2. @File : spi_master.v
  3. @Time :
  4. @Author :
  5. @EditTool: VS Code
  6. @Font : UTF-8
  7. @Function: 实现SPI的接口,完成数据/指令的发送
  8. SPI时钟速率为输入时钟的1/4
  9. 只支持
  10. CPOL = 0 CPHA = 1 模式1
  11. CPOL = 1 CPHA = 1 模式3
  12. 默认使用模式3
  13. **************************************************************/
  14. module spi_master #(
  15. parameter CPOL = 1 //时钟极性
  16. //parameter CPHA = 1 //时钟相位
  17. )(
  18. input clk ,
  19. input rst_n ,
  20. input [7:0] tx_data ,
  21. input tx_data_vld ,
  22. output tx_ready ,
  23. output reg [7:0] rx_data ,
  24. output rx_data_vld ,
  25. output done , //一次操作完成
  26. output reg sclk ,
  27. output reg mosi ,
  28. input miso ,
  29. output reg cs_n
  30. );
  31. wire fifo_empty ;
  32. wire fifo_full ;
  33. wire fifo_rd_req ;
  34. wire [7:0] fifo_rd_data;
  35. parameter IDLE = 0 ,//空闲
  36. DLY_1 = 1 ,//片选提前拉低延时
  37. DATA = 2 ,//数据传输
  38. DLY_2 = 3 ,//片选延后拉高延时
  39. HOLD = 4 ;//片选拉高后保持时间
  40. reg [2:0] state ;
  41. wire idle2dly_1 ;
  42. wire dly_12data ;
  43. wire data2dly_2 ;
  44. wire dly_22hold ;
  45. wire hold2idle ;
  46. reg [3:0] cnt_div ;
  47. wire add_div_cnt ;
  48. wire end_div_cnt ;
  49. parameter DIV_MAX = 4 ; //时钟分频倍数
  50. reg [3:0] cnt_bit ;
  51. wire add_bit_cnt ;
  52. wire end_bit_cnt ;
  53. reg [2:0] cnt_delay ;
  54. wire add_delay_cnt;
  55. wire end_delay_cnt;
  56. parameter DELAY_MAX = 100 / 20; //100ns的保持时间
  57. /**************************************************************
  58. 缓存需要发送的数据
  59. **************************************************************/
  60. tx_fifo tx_fifo_inst (
  61. .aclr ( ~rst_n ),
  62. .clock ( clk ),
  63. .wrreq ( tx_data_vld && ~fifo_full),
  64. .data ( tx_data ),
  65. .rdreq (fifo_rd_req ),
  66. .q (fifo_rd_data ),
  67. .empty (fifo_empty ),
  68. .full (fifo_full ),
  69. .usedw ( )
  70. );
  71. //提前一点读取新数据,让fifo的empty信号早点更新
  72. //(根据仿真调整的,仿真体现出来的结果是,fifo的empty信号晚了一个时钟周期,导致在DATA状态多待了一个时钟周期,
  73. //从而导致SCLK信号有毛刺,以及div计数器未归0
  74. assign fifo_rd_req = ~fifo_empty && cnt_bit == 7 && (cnt_div == DIV_MAX - 2); //end_bit_cnt; //发送完了一个字节的数据,就会读取新的数据
  75. assign tx_ready = ~fifo_full;
  76. /**************************************************************
  77. 状态机
  78. **************************************************************/
  79. always@(posedge clk or negedge rst_n)
  80. if(!rst_n)
  81. state <= IDLE;
  82. else case(state)
  83. IDLE : if(idle2dly_1)
  84. state <= DLY_1;
  85. DLY_1 : if(dly_12data)
  86. state <= DATA;
  87. DATA : if(data2dly_2)
  88. state <= DLY_2;
  89. DLY_2 : if(dly_22hold)
  90. state <= HOLD;
  91. HOLD : if(hold2idle)
  92. state <= IDLE;
  93. default : state <= IDLE;
  94. endcase
  95. assign idle2dly_1 = state == IDLE && ~fifo_empty;
  96. assign dly_12data = state == DLY_1 && 1; //延时20ns的时间
  97. assign data2dly_2 = state == DATA && fifo_empty; //fifo中没有数据需要传输了,表示这一次需要传输的数据传完了
  98. assign dly_22hold = state == DLY_2 && 1; //延时20ns的时间
  99. assign hold2idle = state == HOLD && end_delay_cnt; //片选信号保持时间结束
  100. /**************************************************************
  101. SPI SCLK
  102. **************************************************************/
  103. always@(posedge clk or negedge rst_n)
  104. if(!rst_n)
  105. cnt_div <= 'd0;
  106. else if(add_div_cnt) begin
  107. if(end_div_cnt)
  108. cnt_div <= 'd0;
  109. else
  110. cnt_div <= cnt_div + 1'b1;
  111. end
  112. assign add_div_cnt = state == DATA;
  113. assign end_div_cnt = add_div_cnt && cnt_div == DIV_MAX - 1; //时钟分频,产生SCLK
  114. generate
  115. if(CPOL == 1) begin
  116. always@(posedge clk or negedge rst_n)
  117. if(!rst_n)
  118. sclk <= 1; //模式3,空闲为高电平
  119. else if(state == DATA && (cnt_div < (DIV_MAX >> 1)))
  120. sclk <= 0;
  121. else
  122. sclk <= 1;
  123. end
  124. else begin //CPOL = 0
  125. always@(posedge clk or negedge rst_n)
  126. if(!rst_n)
  127. sclk <= 0; //模式1,空闲为低电平
  128. else if(state == DATA && (cnt_div < (DIV_MAX >> 1)))
  129. sclk <= 1;
  130. else
  131. sclk <= 0;
  132. end
  133. endgenerate
  134. /**************************************************************
  135. bit控制
  136. **************************************************************/
  137. always@(posedge clk or negedge rst_n)
  138. if(!rst_n)
  139. cnt_bit <= 'd0;
  140. else if(add_bit_cnt) begin
  141. if(end_bit_cnt)
  142. cnt_bit <= 'd0;
  143. else
  144. cnt_bit <= cnt_bit + 1'b1;
  145. end
  146. assign add_bit_cnt = end_div_cnt;
  147. assign end_bit_cnt = add_bit_cnt && cnt_bit == 8 - 1;
  148. /**************************************************************
  149. SPI MOSI
  150. **************************************************************/
  151. always@(posedge clk or negedge rst_n)
  152. if(!rst_n)
  153. mosi <= 0;
  154. else if(state == DATA && (cnt_div == 0)) //模式13,都是在第一个边沿传输数据
  155. mosi <= fifo_rd_data[7-cnt_bit]; //MSB,先发送数据的高位
  156. /**************************************************************
  157. 片选信号保持时间
  158. **************************************************************/
  159. always@(posedge clk or negedge rst_n)
  160. if(!rst_n)
  161. cnt_delay <= 'd0;
  162. else if(add_delay_cnt) begin
  163. if(end_delay_cnt)
  164. cnt_delay <= 'd0;
  165. else
  166. cnt_delay <= cnt_delay + 1'b1;
  167. end
  168. assign add_delay_cnt = state == HOLD;
  169. assign end_delay_cnt = add_delay_cnt && cnt_delay == DELAY_MAX - 1;
  170. /**************************************************************
  171. 片选信号控制
  172. **************************************************************/
  173. always@(posedge clk or negedge rst_n)
  174. if(!rst_n)
  175. cs_n <= 1;
  176. else if(state == HOLD || state == IDLE)
  177. cs_n <= 1;
  178. else
  179. cs_n <= 0;
  180. /**************************************************************
  181. 数据接收,MISO
  182. **************************************************************/
  183. always@(posedge clk or negedge rst_n)
  184. if(!rst_n)
  185. rx_data <= 0;
  186. else if(state == DATA && (cnt_div == (DIV_MAX >> 1))) //sclk第二个边沿接收数据
  187. rx_data <= {rx_data[6:0],miso};
  188. assign rx_data_vld = end_bit_cnt; //接收完8bit数据
  189. assign done = hold2idle; //完成了一次完整的数据传递
  190. endmodule

3.3 按键模块

  1. module key#(
  2. parameter KEY_WIDTH = 3'd4
  3. )(
  4. input sys_clk ,
  5. input sys_rst_n ,
  6. input [KEY_WIDTH - 1:0] key_in ,
  7. output reg [KEY_WIDTH - 1:0] key_flag
  8. );
  9. parameter CNT_MAX = 20'd1000_000; //20ms消抖时间
  10. localparam IDLE = 4'b0001,
  11. DONE = 4'b0010,
  12. HOLD = 4'b0100,
  13. UP = 4'b1000;
  14. reg [3:0] state;
  15. reg [KEY_WIDTH - 1:0] key_in_reg0,
  16. key_in_reg1,
  17. key_in_reg2;
  18. reg [KEY_WIDTH - 1:0] key_reg;
  19. wire key_in_negedge,
  20. key_in_posedge;
  21. /* 打拍 */
  22. always@(posedge sys_clk or negedge sys_rst_n)
  23. if(!sys_rst_n) begin
  24. key_in_reg0 <= {KEY_WIDTH{1'd1}}; /* 同步 */
  25. key_in_reg1 <= {KEY_WIDTH{1'd1}}; /* 同步 */
  26. key_in_reg2 <= {KEY_WIDTH{1'd1}}; /* 边沿 */
  27. end
  28. else begin
  29. key_in_reg0 <= key_in;
  30. key_in_reg1 <= key_in_reg0;
  31. key_in_reg2 <= key_in_reg1;
  32. end
  33. /* 按位与,只要有一位出现了下降沿/上升沿,就会将该信号拉高 */
  34. assign key_in_negedge = ((key_in_reg2 & ~key_in_reg1) != 'd0)? 1'b1 : 1'b0;
  35. assign key_in_posedge = ((~key_in_reg2 & key_in_reg1) != 'd0)? 1'b1 : 1'b0;
  36. reg start_cnt;
  37. reg cnt_flag;
  38. reg [19:0] cnt;
  39. wire add_cnt,end_cnt;
  40. always@(posedge sys_clk or negedge sys_rst_n)
  41. if(!sys_rst_n)
  42. start_cnt <= 1'b0;
  43. else if(end_cnt)
  44. start_cnt <= 1'b0;
  45. else if(key_in_negedge || key_in_posedge)
  46. start_cnt <= 1'b1;
  47. always@(posedge sys_clk or negedge sys_rst_n)
  48. if(!sys_rst_n)
  49. cnt <= 20'd0;
  50. else if(add_cnt) begin
  51. if(end_cnt)
  52. cnt <= 20'd0;
  53. else
  54. cnt <= cnt + 1'b1;
  55. end
  56. else
  57. cnt <= 20'd0;
  58. assign add_cnt = start_cnt;
  59. assign end_cnt = add_cnt && ((cnt == CNT_MAX - 1) || (key_in_negedge));
  60. /* 触发缓存 */
  61. always@(posedge sys_clk or negedge sys_rst_n)
  62. if(!sys_rst_n)
  63. key_reg <= 'd0;
  64. else if(key_in_negedge)
  65. key_reg <= key_in_reg1;
  66. always@(posedge sys_clk or negedge sys_rst_n)
  67. if(!sys_rst_n)
  68. state <= IDLE;
  69. else case(state)
  70. IDLE : if(key_in_negedge)
  71. state <= DONE;
  72. DONE : if(end_cnt) begin
  73. if(key_in == key_reg)
  74. state <= HOLD;
  75. else
  76. state <= IDLE;
  77. end
  78. HOLD : if(key_in_posedge)
  79. state <= UP;
  80. UP : if(end_cnt)
  81. state <= IDLE;
  82. default : state <= IDLE;
  83. endcase
  84. always@(posedge sys_clk or negedge sys_rst_n)
  85. if(!sys_rst_n)
  86. key_flag <= 'd0;
  87. else if(state == HOLD && key_in_posedge)
  88. key_flag <= ~key_in_reg2;
  89. else
  90. key_flag <= 'd0;
  91. endmodule

3.4 顶层模块

  1. /**************************************************************
  2. @File : top.v
  3. @Time :
  4. @Author :
  5. @EditTool: VS Code
  6. @Font : UTF-8
  7. @Function: 按键2将数据AC写入到地址0中,按键1从地址0读取一个字节的数据,按键0读取flash的ID
  8. **************************************************************/
  9. module top(
  10. input clk ,
  11. input rst_n ,
  12. input rx ,
  13. output tx ,
  14. input [2:0] key ,
  15. output sclk ,
  16. output mosi ,
  17. input miso ,
  18. output cs_n
  19. );
  20. wire [7:0] uart_tx_data ;
  21. wire uart_tx_data_vld;
  22. wire [7:0] uart_rx_data ;
  23. wire uart_rx_data_vld;
  24. wire uart_rx_ready ;
  25. wire spi_tx_ready ;
  26. wire [7:0] tx_data ;
  27. wire tx_data_vld ;
  28. wire tx_ready ;
  29. wire [7:0] rx_data ;
  30. wire rx_data_vld ;
  31. wire done ;
  32. wire [2:0] key_flag ;
  33. key #(.KEY_WIDTH(3)) key_inst(
  34. /*input */.sys_clk (clk ),
  35. /*input */.sys_rst_n (rst_n ),
  36. /*input [KEY_WIDTH - 1:0] */.key_in (key ),
  37. /*output reg [KEY_WIDTH - 1:0] */.key_flag (key_flag )
  38. );
  39. my_uart my_uart (
  40. .uart_clk (clk ), // clk.clk
  41. .rst_n (rst_n ), // rst_n.reset_n
  42. .user_tx_clk (clk ), // user.user_tx_clk
  43. .user_tx_data (uart_tx_data ), // .user_tx_data
  44. .user_tx_data_vld (uart_tx_data_vld ), // .user_tx_data_vld
  45. .user_tx_ready ( ), // .user_tx_ready
  46. .user_rx_clk (clk ), // .user_rx_clk
  47. .user_rx_ready (uart_rx_ready ), // .user_rx_ready
  48. .user_rx_rd_req (uart_rx_ready && spi_tx_ready), // .user_rx_rd_req
  49. .user_rx_data (uart_rx_data ), // .user_rx_data
  50. .user_rx_data_vld (uart_rx_data_vld ), // .user_rx_data_vld
  51. .uart_rxd (rx ), // uart.uart_rxd
  52. .uart_txd (tx ) // .uart_txd
  53. );
  54. flash_control flash_control(
  55. /* input */.clk (clk ),
  56. /* input */.rst_n (rst_n ),
  57. /* input */.rdid_req (key_flag[0] ), //读ID请求
  58. /* input */.read_req (key_flag[1] ), //读数据
  59. /* input [23:0] */.read_addr (0 ),
  60. /* input [7:0] */.read_num (1 ), //需要读出数据的个数 0~255
  61. /* output [7:0] */.read_data (uart_tx_data),
  62. /* output */.read_data_vld (uart_tx_data_vld),
  63. /* input */.write_req (key_flag[2] ),
  64. /* input [23:0] */.write_addr (0 ),
  65. /* input [8:0] */.write_num (1 ), //写数据个数 0~256
  66. /* input [7:0] */.write_data (8'hac ),
  67. /* input */.write_data_vld (key_flag[2] ),
  68. /* output */.write_ready ( ),
  69. /* output reg [7:0] */.tx_data (tx_data ),
  70. /* output */.tx_data_vld (tx_data_vld ),
  71. /* input */.tx_ready (tx_ready ),
  72. /* input [7:0] */.rx_data (rx_data ),
  73. /* input */.rx_data_vld (rx_data_vld ),
  74. /* input */.done (done )
  75. );
  76. spi_master spi_master (
  77. /* input */.clk (clk ),
  78. /* input */.rst_n (rst_n ),
  79. /* input [7:0] */.tx_data (tx_data ),
  80. /* input */.tx_data_vld (tx_data_vld),
  81. /* output */.tx_ready (tx_ready ),
  82. /* output reg [7:0] */.rx_data (rx_data ),
  83. /* output */.rx_data_vld (rx_data_vld),
  84. /* output */.done (done ), //一次操作完成
  85. /* output reg */.sclk (sclk ),
  86. /* output reg */.mosi (mosi ),
  87. /* input */.miso (miso ),
  88. /* output reg */.cs_n (cs_n )
  89. );
  90. endmodule

3.6 顶层模块

  1. `timescale 1ns/1ps
  2. module flash_control_tb ();
  3. parameter CLK_CYCLE = 20;
  4. reg sys_clk,sys_rst_n;
  5. always #(CLK_CYCLE/2) sys_clk = ~sys_clk;
  6. initial begin
  7. sys_clk = 1'b1;
  8. sys_rst_n = 1'b0;
  9. #(CLK_CYCLE*2);
  10. sys_rst_n = 1'b1;
  11. end
  12. wire [7:0] tx_data ;
  13. wire tx_data_vld ;
  14. wire tx_ready ;
  15. wire [7:0] rx_data ;
  16. wire rx_data_vld ;
  17. wire done ;
  18. wire sclk ;
  19. wire mosi ;
  20. wire miso ;
  21. wire cs_n ;
  22. reg read_req ;
  23. reg [23:0] read_addr ;
  24. reg [8:0] read_num ;
  25. reg rdid_req ;
  26. reg write_req ;
  27. reg [23:0] write_addr ;
  28. reg [8:0] write_num ;
  29. reg [7:0] write_data ;
  30. reg write_data_vld ;
  31. wire write_ready ;
  32. initial begin
  33. read_req = 0;
  34. read_addr = 0;
  35. read_num = 0;
  36. rdid_req = 0;
  37. write_req = 0;
  38. write_addr = 0;
  39. write_num = 0;
  40. write_data = 0;
  41. write_data_vld = 0;
  42. #100;
  43. //写数据
  44. write_req = 1;
  45. write_addr = 0;
  46. write_num = 256;
  47. #20;
  48. write_req = 0;
  49. repeat(256) begin
  50. write_data = {$random};
  51. write_data_vld = 1;
  52. #20;
  53. end
  54. write_data_vld = 0;
  55. wait (flash_control.del_pp2idle == 1);
  56. wait (flash_control.state == 0); //等待写数据完成 状态机为IDLE
  57. #200;
  58. //读数据
  59. read_req = 1;
  60. read_addr = 0;
  61. read_num = 256;
  62. #20
  63. read_req = 0;
  64. wait (flash_control.wait2idle == 1);
  65. #200;
  66. rdid_req = 1;
  67. #20;
  68. rdid_req = 0;
  69. wait (flash_control.wait2idle == 1);
  70. #3000;
  71. $stop;
  72. end
  73. defparam flash_control.TSE = 4000 / 20;
  74. defparam flash_control.TPP = 600 / 20;
  75. flash_control flash_control(
  76. /* input */.clk (sys_clk ),
  77. /* input */.rst_n (sys_rst_n ),
  78. /* input */.rdid_req (rdid_req ), //读ID请求
  79. /* input */.read_req (read_req ), //读数据
  80. /* input [23:0] */.read_addr (read_addr ),
  81. /* input [8:0] */.read_num (read_num ), //需要读出数据的个数 0~255
  82. /* output [7:0] */.read_data ( ),
  83. /* output */.read_data_vld ( ),
  84. /* input */.write_req (write_req ),
  85. /* input [23:0] */.write_addr (write_addr ),
  86. /* input [8:0] */.write_num (write_num ), //写数据个数 0~256
  87. /* input [7:0] */.write_data (write_data ),
  88. /* input */.write_data_vld (write_data_vld),
  89. /* output */.write_ready (write_ready ),
  90. /* output reg [7:0] */.tx_data (tx_data ),
  91. /* output */.tx_data_vld (tx_data_vld ),
  92. /* input */.tx_ready (tx_ready ),
  93. /* input [7:0] */.rx_data (rx_data ),
  94. /* input */.rx_data_vld (rx_data_vld ),
  95. /* input */.done (done )
  96. );
  97. spi_master spi_master (
  98. /* input */.clk (sys_clk ),
  99. /* input */.rst_n (sys_rst_n ),
  100. /* input [7:0] */.tx_data (tx_data ),
  101. /* input */.tx_data_vld (tx_data_vld),
  102. /* output */.tx_ready (tx_ready ),
  103. /* output reg [7:0] */.rx_data (rx_data ),
  104. /* output */.rx_data_vld (rx_data_vld),
  105. /* output */.done (done ), //一次操作完成
  106. /* output reg */.sclk (sclk ),
  107. /* output reg */.mosi (mosi ),
  108. /* input */.miso (miso ),
  109. /* output reg */.cs_n (cs_n )
  110. );
  111. m25p16 m25p16_inst(
  112. .c (sclk ),
  113. .data_in (mosi ),
  114. .s (cs_n ),
  115. .w (1'b1 ),
  116. .hold (1'b1 ),
  117. .data_out (miso )
  118. );
  119. endmodule

 

 


 

 

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/weixin_40725706/article/detail/829495
推荐阅读
相关标签
  

闽ICP备14008679号