当前位置:   article > 正文

vivado保存ila的波形_vivado保存ila波形

vivado保存ila波形

1.保存ila数据的TCL命令
write_hw_ila_data E:/yourpath/name_ila.ila [upload_hw_ila_data hw_ila_4]
注意:
目录中不能有space,中文
name_ila为保存的文件名,需要带路径,注意是右斜“/”.hw_ila_4为你的ILA的名字,[ ]中为对应的ILA核。

2.可以读取保存的ila数据,并在vivado上显示
read_hw_ila_data E:/yourpath/name_ila.ila
display_hw_ila_data
3.把保存好的.ila格式的文件导入matlab中,在matlab中用unzip语句解压,会出现一个csv格式的文件,这个文件可以用excel或者matlab打开,并能直观地看到所有sample,保存的数据都是二进制。
在matlab中:unzip(‘filename’,‘file path’);

4.可在excel中用自带的函数功能对数据进行处理,处理后可以再导入matlab中进行高级处理。
也可以在matlab中,用如下函数读取.csv文件的某一列数据,B列xx行至B列xxx行赋给name:
在matlab中:name = xlsread(‘waveform.csv’,‘Bxx:Bxxx’);

用MATLAB直接读取整个CSV中波形时,注意第一行内容是接口名称。

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/小小林熬夜学编程/article/detail/484485
推荐阅读
相关标签
  

闽ICP备14008679号