当前位置:   article > 正文

vivado 在PlanAhead工具中将UCF转换为XDC_vivado ucf转xdc

vivado ucf转xdc

在PlanAhead工具中将UCF转换为XDC
打开ISE设计时,PlanAhead™工具有助于将UCF约束转换为XDC
包含UCF约束的Suite或PlanAhead工具项目。
将设计加载到数据库中时,可以使用write_xdc命令将
UCF约束的百分比。您需要手动验证输出文件并手动
将一些约束转换为XDC,以确保所有设计约束都是正确的。
Tcl命令write_xdc要求使用一个或多个UCF打开合成网表
文件已加载。从PlanAhead工具中,执行以下操作:
1.打开包含UCF约束的项目。
2.单击“打开综合设计”。
3.在Tcl控制台中,键入:write_xdc<filename>.xdc
write_xdc命令不是文件转换器。该命令写出的约束
作为XDC文件成功应用于设计。输出XDC文件包含:
•每个转换后的UCF都有一个注释,其中包含UCF中的文件和行号。
•每个未完成转换的注释。

重要!请注意指出哪些约束未成功的关键警告
转换。
这种转换只是迁移到基于XDC的约束的起点。
建议:在不使用转换过程的情况下创建XDC时序约束,因为
UCF和XDC之间的根本差异使自动化不太理想。
•使用PlanAhead工具转换UCF最适合物理约束和基本时间
约束。简单时钟定义和I/O延迟的定时约束通常会转换

重要!手动转换计时异常。许多人不翻译,其他人可以制作
次优结果。
•Vivado IDE(XDC/SDC)中的计时器与中的计时器之间的基本区别
ISE设计套件(UCF)使直接翻译变得不可能。因此,UCF约束
必须重新评估,并且可能需要使用XDC的新方法。转换可以是
完成了详细的RTL设计;然而,在典型的UCF中引用的许多对象都是这样做的
在那个阶段不存在,因此不应用于数据库。
•只有成功应用于数据库的约束才能写成XDC。
因此,简单的时钟和I/O延迟约束通常可以从
详细阐述了RTL设计。
TimeGROUP
您可以将Tcl变量与定时异常一起使用,以实现与INST/TNM相同的效果
和TIMESPEC。以下示例说明了这一点。

UCF Example:
INST "DUT/BLOCK_A/data_reg[*]” TNM = "from_data_reg_0";
INST "DUT/BLOCK_A/addr_reg[*]” TNM = "from_data_reg_0";
INST "DUT/BLOCK_B/data_sync[*]” TNM = "to_data_reg_0";
INST "DUT/BLOCK_B/addr_sync[*]” TNM = "to_data_reg_0";
TIMESPEC "TS_MCP" = FROM "from_data_reg_0" TO "to_data_reg_0" TS_FSCLK * 3;
Tcl Equivalent:
set from_data_reg_0 [get_cells {DUT/BLOCK_A/data_reg[*]\
DUT/BLOCK_A/addr_reg[*]}];
set to_data_reg_0 [get_cells {DUT/BLOCK_B/data_sync[*]\
DUT/BLOCK_B/addr_sync[*]}];
set_multicycle_path -setup 3 -from $from_data_reg_0 -to $to_data_reg_0;
set_multicycle_path -hold 2 -from $from_data_reg_0 -to $to_data_reg_0;
声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/小舞很执着/article/detail/747586
推荐阅读
相关标签
  

闽ICP备14008679号