当前位置:   article > 正文

【YOLOv8改进[Conv]】使用YOLOv10的空间通道解耦下采样SCDown模块替换部分CONv实践助力V8更优秀 + 含全部代码和修改内容 + 首发_yolo10 scdown

yolo10 scdown

本文中将使用YOLOv10空间通道解耦下采样SCDown模块替换部分CONv实践助力V8更优秀 ,文章中含全部代码和修改内容。

目录

一 YOLOv10

二 空间通道解耦下采样SCDown模块替换部分CONv实践助力V8更优秀

1 整体修改

① 添加yolov10_modules.py文件

② 修改ultralytics/nn/tasks.py文件

2 配置文件

3 训练

其他


一 YOLOv10

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/神奇cpp/article/detail/749259
推荐阅读
相关标签