当前位置:   article > 正文

在FPGA板上用Verilog实现车牌识别_fpga车牌识别

fpga车牌识别

一个车牌识别系统先在Matlab中实现,然后将其在FPGA Xilinx Spartan-6上使用Verilog实现。以下是FPGA上系统的测试环境。
在这里插入图片描述
图像存储器: 存储10个图像并将其转换为.dat格式(gray data)。我们使用$ readmemh(可复合)Verilog 命令,通过读取.dat文件中的gray data来初始化内存。将10个图像转换为Gray格式,并使用Matlab写入.dat文件。

车牌识别识别核心:使用 FPGA上的开关作为“启动”信号来触发此核心工作并输出车牌号。

监视LED :当“完成”信号是上升沿时,该块显示车牌号。
在这里插入图片描述
详情参阅 - 亚图跨际

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/2023面试高手/article/detail/726012
推荐阅读
相关标签
  

闽ICP备14008679号