当前位置:   article > 正文

基于FPGA的多功能数字钟的设计_数字时钟设计fpga

数字时钟设计fpga

摘要

数字钟是采用数字电路实现对时、分、秒数字显示的计时装置,是人们日常 生活中不可少的必需品。本文介绍了应用FPGA芯片设计多功能数字钟的•种方 案,并讨讨论了有关使用FPGA芯片和VHDL语言实现数字钟设计的技术问题。 关键词数字钟、分频器、译码器、计数器、校时电路、报时电路。

0.引言

数字集成电路的发展和石英晶体振荡器的广泛应用,使得数字钟的精度远远 超过老式钟表。钟表的数字化给人们生产生活带来了极大的方便而且大大地扩展 了钟表原先的报时功能。因此,研究数字钟及扩大其应用,有着非常现实的意义。

  1. .设计要求说明

L1设计要求

1)设计•个具有

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/从前慢现在也慢/article/detail/735308
推荐阅读
相关标签
  

闽ICP备14008679号