当前位置:   article > 正文

FPGA 学习笔记:Vivado 2018.2 MicroBlaze 启动 SDK_vivado sdk使用教程

vivado sdk使用教程

前言

  • Vivado 2018.2,配置好 MicroBlaze Uartlite等Block Design后,生成了 bin、bin文件,此时烧写到FPGA板子上,发现没有任何动静,所以需要 SDK的支持

导出 Hardware

  • 这里使用的Vivado 2018.2,最新的 Vivado版本,如 Vivado 2020.2,启动的SDK 是 :Vitis,启动方式稍微有点不同,后面补充 Vivado 2020.2版本的操作方法

  • 【File】-> 【Export】 -> 【Export Hardware…】,导出FPGA 的硬件设计文件

在这里插入图片描述

  • 这里勾选【Include bitstream】文件,方便 Xilinx SDK 中下载FPGA程序

在这里插入图片描述

  • 如果不更改目录,默认导出到了 .sdk 目录,所以导出目录不更改的话,每次导出,都会覆盖之前的导出文件,这里可以更改之前导出的 .sdk 目录,保存设计备份

声明:本文内容由网友自发贡献,不代表【wpsshop博客】立场,版权归原作者所有,本站不承担相应法律责任。如您发现有侵权的内容,请联系我们。转载请注明出处:https://www.wpsshop.cn/w/Gausst松鼠会/article/detail/726909
推荐阅读
相关标签
  

闽ICP备14008679号